X-Git-Url: https://git.sthu.org/?p=vimconf.git;a=blobdiff_plain;f=init.vim;h=aa86714d76c608905b253a37d67c73fc0717a9aa;hp=d9e0373503ec054d11b923c7d72c51a3b66f23b0;hb=a6f5b4dbb1b87a7a39b922a1a725a1706bff9c95;hpb=5911a72d6701e4fedac1474b5bb878cfa7cab54b diff --git a/init.vim b/init.vim index d9e0373..aa86714 100644 --- a/init.vim +++ b/init.vim @@ -35,12 +35,17 @@ set wildmode=longest,list:full set tabpagemax=100 +" Some terminals cause weired symbols due to broken cursor-shape termcodes. if has('nvim') - set guicursor= + "set guicursor= + " Workaround some broken plugins which set guicursor indiscriminately. + "au OptionSet guicursor noautocmd set guicursor= end syntax on +" Load some macros +runtime macros.vim """""""""""""""""""""""""""""""""""""""""""""""""""""""""""" " Some global plugin settings @@ -57,6 +62,7 @@ runtime plugsetup.vim " Some preferences for indent detection let g:detectindent_min_indent = 2 +let g:detectindent_max_indent = 4 let g:detectindent_preferred_indent = 4 " Attention: Must be run after plugsetup.vim @@ -99,8 +105,13 @@ let NERDTreeQuitOnOpen=1 let g:neomake_c_enabled_makers = ['clangtidy', 'clangcheck'] let g:neomake_cpp_enabled_makers = ['clangtidy', 'clangcheck'] -call neomake#configure#automake('w') -"let g:neomake_logfile = '/tmp/neomake.log' +" Taken from neomake +if OnBattery() + call neomake#configure#automake('w') +else + call neomake#configure#automake('nw', 1000) +endif + let g:clang_compilation_database = '.' @@ -109,7 +120,7 @@ let g:python3_host_prog = '/usr/bin/python3' " deoplete requires huge startuptime. Delay loading upon first InsertEnter. let g:deoplete#enable_at_startup = 0 let g:deoplete#enable_smart_case = 1 -autocmd InsertEnter * call deoplete#enable() +au InsertEnter * call deoplete#enable() "augroup pencil "au! @@ -124,16 +135,6 @@ let g:vim_markdown_frontmatter=1 let g:vim_markdown_math=1 -"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""" -" Some filetype settings - -" mutt and neomutt -au BufRead,BufNewFile ~/.mutt/tmp/*mutt-* setlocal filetype=mail -au BufRead,BufNewFile *.muttrc setlocal filetype=muttrc - -au BufRead,BufNewFile *.cls setlocal filetype=tex - - """""""""""""""""""""""""""""""""""""""""""""""""""""""""""" " Some filetype-specific settings @@ -179,7 +180,7 @@ au FileType markdown call RagtagInit() let g:detectspelllang_langs = {} let g:detectspelllang_langs.aspell =[ 'en_US', 'de_AT'] -"au BufReadPost * :DetectIndent +au BufReadPost *.java :DetectIndent au BufEnter *.c* let b:fswitchlocs='reg:/lib/include/,rel:.' au BufEnter *.h* let b:fswitchlocs='reg:/include/lib/,rel:.' @@ -199,7 +200,6 @@ let g:vimwiki_list = [{'path': '~/.vimwiki/', """""""""""""""""""""""""""""""""""""""""""""""""""""""""""" -runtime macros.vim runtime keymaps.vim if filereadable($HOME . '/.vim/init-local.vim')